CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 交通灯

搜索资源列表

  1. VHDL

    0下载:
  2. 基才VHDL状态机设计的智能交通控制灯 设计 有需要的可以看一下-only VHDL-based state machine design and intelligent traffic control lights need to design can look at the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:139501
    • 提供者:杨树茂
  1. VHDL

    0下载:
  2. 基才VHDL状态机设计的智能交通控制灯 有需要的可以看一下-only VHDL-based state machine design and intelligent traffic control lights need to see what
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:261626
    • 提供者:杨树茂
  1. EDA

    1下载:
  2. eda技术的说明,程序VHDL(电梯\\键扫\\交通灯\\步进电机)CPLD/FPGA
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1589902
    • 提供者:徐钧
  1. jiaotongdeng_mealy2

    0下载:
  2. 自编的交通灯程序,使用VHDL语言,使用状态机模式。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2131
    • 提供者:韩彬
  1. TrafficLight

    0下载:
  2. 用vhdl语言实现交通灯控制的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4151
    • 提供者:郭海东
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4451
    • 提供者:dcy
  1. trafic

    0下载:
  2. CPLD lattice1032 VHDL实现交通灯控制!
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:144288
    • 提供者:徐家汇
  1. vhdlb

    0下载:
  2. 交通灯控制器 vhdl程序如下(~高速路和普通路 高速路绿灯亮60S普通路绿亮30S红绿交换时黄灯同亮时5S)
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3969
    • 提供者:造型
  1. multiplier

    0下载:
  2. 交通灯程序《数字电路EDA入门-VHDL程序实例》---交通灯程序例子,,C-C++
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3801
    • 提供者:林章复
  1. jiaotongdeng

    0下载:
  2. 这是用VHDL语言编译的交通灯程序,十分好用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1229
    • 提供者:史乐
  1. asdf

    0下载:
  2. EDA常用计数函数VHDL程序设计,基于VHDL的交通灯设计实例&分频器
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:665385
    • 提供者:lzh
  1. jiaotongdeng

    0下载:
  2. 交通灯VHDL设计,所有程序和顶层逻辑图都有,编译已通过,管脚分配可按实际分配
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:234657
    • 提供者:zhang
  1. trafficlightvhdlcode

    0下载:
  2. VHDL实现的交通灯程序,可以定时南北和东西方向的交通灯信号,控制红黄绿各灯亮的时间,并考虑紧急情况如有救护车通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:582050
    • 提供者:dongming
  1. DPA_4_TrafficLight

    0下载:
  2. 用VHDL实现交通灯的功能 很实用的 我认为比其他的的交通灯程序更好
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:377871
    • 提供者:郭海东
  1. ledcontrol

    0下载:
  2. 该程序为用vhdl语言编写的彩灯控制程序! 通过状态机实现三个彩灯的状态装换,红灯亮2秒,绿灯亮3秒,黄灯亮1秒! 时钟频率为1HZ! 通过该程序也可以改成交通灯的情况
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:887
    • 提供者:吴明星
  1. jtdkz

    0下载:
  2. 欢迎大家下载,vhdl编写的交通灯控制原代码,谢谢欢迎大家下载,vhdl编写的交通灯控制原代码,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:99826
    • 提供者:蒋乃乾
  1. asd

    0下载:
  2. 欢迎大家下载 ,vhdl编写的交通灯控制原代码,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:蒋乃乾
  1. atrafficlightrealizedbyFPGA

    0下载:
  2. 一篇用VHDL实现的交通灯设计,具有灯种显示和倒计时功能
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:860560
    • 提供者:Roger
  1. jiaotong

    0下载:
  2. 交通灯控制器的VHDL设计,能控制十字路口的红绿灯转换,通过目标芯片EPF10KLC84-4验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:328361
    • 提供者:ellala
  1. VHDL100

    2下载:
  2. 包含了VHDL语言的100个例子,如交通灯控制器,空调系统有限状态自动机,FIR滤波器,五阶椭圆滤波器,闹钟系统的控制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:320768
    • 提供者:ttang
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 18 »
搜珍网 www.dssz.com